Handbook of algorithms for physical design automation part 79

pdf
Số trang Handbook of algorithms for physical design automation part 79 10 Cỡ tệp Handbook of algorithms for physical design automation part 79 173 KB Lượt tải Handbook of algorithms for physical design automation part 79 0 Lượt đọc Handbook of algorithms for physical design automation part 79 0
Đánh giá Handbook of algorithms for physical design automation part 79
4.4 ( 7 lượt)
Nhấn vào bên dưới để tải tài liệu
Để tải xuống xem đầy đủ hãy nhấn vào bên trên
Chủ đề liên quan

Nội dung

Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 762 Finals Page 762 10-10-2008 #27 Handbook of Algorithms for Physical Design Automation As mentioned in Section 36.2, CMP-induced metal dishing increases the line resistance. In addition, metal height can vary as a function of line width, local and global densities. It is critical to ensure the basic computational accuracy of RC extraction tools before including process variation effects. Silicon validation of parasitics helps in closing the loop between process realities and interconnect extraction [44]. 36.6.2 IMPACT OF SPATIAL VARIATION As device and interconnect dimensions continue to shrink, maintaining process uniformity is increasing in importance and difficulty [61]. The 2004 edition of the International Technology Roadmap for Semiconductors (ITRS) [25] lists the control of printed transistor gate length in the lithography process as falling short of expectations for the coming technology generations. Variability is happening at multiple scales in semiconductor manufacturing processes, but only the largest of these scales has been studied. Statistical metrology methods are now used to model the variation of different parameters not only across the wafer but also within the die itself. The modeling of both waferlevel and die-level spatial dependencies will become increasingly important for effective process control. The quality of planarization with CMP depends on the layout feature density uniformity. In addition, the features on each die follow a systematic within-die variation. Therefore, different devices within the wafer will exhibit similar characteristics even though they have different characteristics within the die [3]. This interaction between wafer and die variation, if not considered, leads to erroneous modeling as shown in Figure 36.23. Figure 36.23a displays a one-dimensional cross section through the wafer displaying the ILD thickness over a particular device. Although the die mean (or wafer-level trend) across the wafer shows a small curvature, the enclosing curvature of wafer and die variation is larger. A sampling of only one device on each die may erroneously assign both die and wafer variation to the wafer scale uniformity, as illustrated in Figure 36.23a. A control technique that tries to make these sampled values more uniform will be ineffective as shown in Figure 36.23b. A method to solve this sampling problem is to intensively sample the devices within the measured die in addition to sampling them across the wafer. However, this method comes with extra cost of gathering the measurements. An alternative approach, for example, in CMP, is to measure both a sparse and a dense region of the measured die to obtain a simple estimate for die variance [3]. Wafer/die samples Die size (a) Wafer-level trend Die size Wafer-level trend (b) FIGURE 36.23 (a) Wafer-level trend generated by single-point sampling within each die can be very different than the mean surface. (b) Control based on sampled surface may achieve erroneous uniformity. (From Boning, D., Chung, J., Ouma, D., and Divecha, R., Proceedings in Process Control, Diagnostics and Modeling in Semiconductor Manufacturing II, 1997.) Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 Finals Page 763 10-10-2008 #28 763 CMP Fill Synthesis: A Survey of Recent Studies 36.6.3 TOPOGRAPHY-AWARE OPTICAL PROXIMITY CORRECTION Depth of focus is the major contributor to lithographic process margin. One of the major causes of focus variation is imperfect planarization of fabrication layers. Presently, OPC (optical proximity correction) methods are oblivious to the predictable nature of focus variation arising from wafer topography. As a result, designers suffer from manufacturing yield loss, as well as loss of design quality through unnecessary guardbanding. Figure 36.24 shows how post-CMP thickness variation results in loss of CD (critical dimension) control. Figure 36.24a shows how post-CMP thickness in copper-oxide polishing will predictably change with the region pattern density. The depth-offocus (DOF) variation corresponding to the thickness variation severely affects metal patterning of the subsequent upper layer, as shown in Figure 36.24b. In this figure, t1 and t2 are post-CMP thickness variations over dense and sparse regions, respectively. Hence, to minimize the impact of pattern-dependent effects of the CMP process, the OPC methods should be aware of the post-CMP topography to assign appropriate defocus value for all the features with the same topography. A recent work by Gupta et al. [21] proposes a flow and methodology to drive OPC with a topography map of the layout that is generated by CMP simulation. The experimental results showed that the proposed topography-aware OPC can yield up to 67 percent reduction in edge placement errors at the cost of little increase in mask cost. 36.6.4 INTELLIGENT CMP FILL SYNTHESIS Current commercial CMP fill insertion tools such as Encounter from Cadence perform fill insertion after routing and before RC extraction. Upon analyzing the density and calculating the required amount of fill to be inserted, there are designated commands that set the metal fill parameters for a given metal layer, including minimum and maximum length and width of fill metal, keep-off distance, spacing between fill metal geometries, preferred and maximum metal density, and window size. In particular, to insert fill features, Encounter starts with bigger fills and makes them smaller as it goes along. It uses the maximum metal fill size specified until it is impossible to fit a piece of metal fill of that size into a particular area, then it uses successively smaller pieces of metal fill until reaching the t2 t1 Post-CMP (a) Metal layer (b) FIGURE 36.24 (a) Side view showing thickness variation over regions with dense and sparse layout. (b) Top view showing CD variation when a line is patterned over a region with uneven wafer topography, that is, under conditions of varying defocus. (From Gupta, P., Kahng, A. B., Park, C. -H., Samadi, K., and Xu, X., Proceedings of the SPIE, 2005.) Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 764 Finals Page 764 10-10-2008 #29 Handbook of Algorithms for Physical Design Automation minimum length [32]. CMP fill insertion tools, however, do not have much flexibility in controlling the impact of the added fill features on interconnect performance (i.e., they only have a set of rules to abide). Therefore, a more sophisticated fill insertion methodology is required. As the industry moves toward the 65 nm node and beyond, traditional fill synthesis methods reach their limits of usefulness. One indication of this is the emergence of the so-called recommended rules, for example, “it is better to have a small difference between the density values of adjacent windows,” or “it is better to maximize the overlap of fill shapes on adjacent layers to enable dummy via insertion.” Of course, the impact of fill synthesis on timing continues to be a key concern for the designer. It is increasingly difficult for a DRC platform to obtain an optimal, design-driven fill synthesis solution that meets all basic CMP design rules and as many recommended rules as possible, while minimizing the impact on timing. In this subsection, we sketch the anticipated features of a more sophisticated, dedicated CMP fill synthesis tool—intelligent fill synthesis—that can potentially reduce engineering effort while enhancing manufacturability (by increasing process and design latitudes). Hence, an intelligent fill synthesis must embody such features as the following [20]. • Multilayer density control. Post-CMP deposition of oxide in the back end is conformal; therefore, the topography variation in one layer is almost directly transferred to the upper layer, and the topography variation of the upper layer is added to that from the previous layer. Even when the density variation of one layer is small, it is possible to have large enough variation for the entire back-end stack to cause yield loss or to exceed DOF limits of lithography. Intelligent fill synthesis should perform concurrent minimization of the density variation of multiple layers, as well as that of each individual layer. • Model-based fill synthesis. Rule-based fill synthesis is based on concepts such as density or keep-off distance rules, which are applied to wiring segments that have less than certain threshold amounts of timing slack. Model-based fill synthesis, on the other hand, would use CMP models to identify regions where planarity is important (next to heavily loaded critical segments and below critical segments). The model-based approach has implicit tight coupling to a timer, and models the impact of fill on coupling capacitance. • Timing-driven fill synthesis. One of the largest concerns in fill synthesis, apart from meeting the CMP design rules, is the impact of fill insertion to the capacitances of the existing nets. An excessive increase in wire capacitance can cause a net to violate its setup timing constraint. A large value for keep-off distance reduces this danger but it erodes into available areas to insert fills and sometimes makes it impossible to meet the minimum density constraint. With timing-driven intelligent fill, the impact of inserting fills on timing is continually assessed, and the minimum keep-off distance for each net to meet the setup time constraint can be computed to avoid a wastefully large one-size-fits-all keep-off distance. In a more advanced, intelligent timing-driven fill flow, the impact of fill insertion on both wafer topography and timing would be analyzed and optimized concurrently. One additional advantage of timingdriven fill is that it can improve the hold-time slack of a net by deliberately and selectively introducing capacitance to that net. • Wire sizing. Changing the width of a wire has certain impact on the parasitics of the wire such as resistance and capacitance. For example, in an organic low-k/Cu system, widening a wire may result in reduced resistance not only because the wire gains width but also because wider wire suppresses metal thickness loss. To complement the execution of timing-driven fill, it is possible to bias the wires by some small amount (<10 percent) and gain small timing slack. This will increase the operating latitude of the circuit. Alternatively, the impact of the height variation of wires can be compensated by width sizing to tighten the distribution of wire parasitics for any given drawn width. Figure 36.25 shows a practical approach to intelligent timing-driven fill. In the following approach, after all the required fill has been inserted, the windows that are still violating the minimum density Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 CMP Fill Synthesis: A Survey of Recent Studies Finals Page 765 10-10-2008 #30 765 Timing-Driven Fill Loop: 0. Set an initial conservatism factor 1. Do (initial) RCX and STA 2. Identify timing-violating nets (TVNs) – i.e., timing-critical nets 3. Apply conservative net-protection (+keep-off distance and blocking M + 1/M − 1 layers) per TVN segment 4. Run (incremental) MC-Fill? target fill amount 5. PIL-FILL Synthesis: 5.1 Greedy insert fill in fill slack columns, targeting most-needy tiles and largest-slack nets first 5.2 After K fill shapes have been inserted, re-run (incremental) STA based on C’s 5.3 Iterate until all required fill has been inserted (or, until no timing constraint looks safe) – return to step 5 6. Update Conservatism 6.1 Analyze windows that violate min density constraints 6.2 Identify nets that belong to the windows that violate the constraints 6.3 Do (incremental) RCX and STA to change the conservatism factor of TVNs – return to Step 2 FIGURE 36.25 Timing-driven fill synthesis approach. (From Gupta, P., Kahng, A.B., Nakagawa, O.S., and Samadi, K., Proceedings of the International VLSI/ULSI Multilevel Interconnection Conference, 2005.) criteria are identified. Then all the nets belonging to these windows will be selected. To meet the density criteria the conservatism factor of TVNs must be updated by allowing the fill to be inserted. This is done in accordance with the results of an incremental RCX and STA (i.e., basically to update the timing slacks of TVNs). 36.7 CONCLUSION In this survey, an overview of CMP processes was presented. Different characterization and modeling approaches were investigated. Even though CMP is the planarizing technique of choice in silicon manufacturing processes, its effectiveness is dominated by the layout pattern density. One technique that designers and manufacturers use to uniform the layout pattern density is CMP fill insertion. CMP fill features are nonfunctional metal features that are added to the layout to make the layout pattern density uniform while not contributing to the logic of the circuits. However, before addressing the problem of filling the layout with fill features, the density of the layout must to be analyzed. Different density calculation approaches such as fixed dissection regime and multilevel density analyses have been presented. Next, different fill synthesis methods including density-driven, model-based, and auxiliary objective-driven have been introduced. Even though CMP fill features help in making the layout pattern density more uniform, they impact total and coupling interconnect capacitances. In this survey, several different fill patterning and modeling techniques that aim at accurately assessing the impact on interconnect capacitance have also been presented. Finally, the concept of intelligent fill (IF) has been introduced. IF has the capability to produce globally optimized, design-driven CMP fill that satisfies difficult fill pattern and density constraints arising in 90 nm and 65 nm technology nodes. REFERENCES 1. S. Batterywala, R. Ananthakrishna, Y. Luo, and A. Gyure, A statistical method for fast and accurate capacitance extraction in the presence of floating dummy fills, in Proceedings of VLSI Design, Hyderabad, India, 2006. Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 766 Finals Page 766 10-10-2008 #31 Handbook of Algorithms for Physical Design Automation 2. P. Beckage, T. Brown, R. Tian, E. Travis, A. Phillips, and C. Thomas, Prediction and characterization of STI CMP within-die thickness variation on 90 nm technology, in Proceedings of CMP-MIC Conference, Marina Del Ray, CA, 2004, pp. 267–274. 3. D. Boning, J. Chung, D. Ouma, and R. Divecha, Spatial variation in semiconductor processes: Modeling for control, in Proceedings in Process Control, Diagnostics and Modeling in Semiconductor Manufacturing II, 1997. 4. D. Boning and B. Lee, Nanotopography issues in shallow trench isolation CMP, in Materials Gateway, 2002, pp. 761–765. 5. D. Boning, B. Lee, C. Oji, D. Ouma, T. Park, T. Smith, and T. Tugbawa, Pattern dependent modeling for CMP optimization and control, in Proceedings of Symposium of Chemical–Mechanical Polishing, 1999. 6. P. A. Burke, Semi-empirical modeling of SiO2 chemical mechanical polishing planarization, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, 1991, pp. 379–384. 7. L. E. Camilletti, Implementation of CMP-based design rules and patterning practices, in Proceedings of IEEE/SEMI Advanced Semiconductor Manufacturing Conference, Cambridge, MA, 1995, pp. 2–4. 8. Y. W. Chang, H. W. Chang, T. C. Lu, Y. King, W. Ting, J. Ku, and C. Y. Lu, A novel CBCM method free from charge injection induced errors: Investigation into the impact of floating dummy fills on interconnect capacitance, in Proceedings of International Conference on Microelectronic Test Structures, Leuven, Belgium, 2005, pp. 235–238. 9. E. Chang, B. Stine, T. Maung, R. Divecha, D. Boning, J. Chung, K. Chang, G. Ray, D. Bradbury, S. Oh, and D. Bartelink, Using a statistical metrology framework to identify systematic and random sources of dieand wafer-level ILD thickness variation in CMP processes, in Proceedings of IEEE International Electron Devices Meeting, 1995, pp. 499–502. 10. Y. Chen, P. Gupta, and A. B. Kahng, Performance-impact limited area fill synthesis, in Proceedings of SPIE Conference on Design and Process Integration for Microelectronic Manufacturing, 2003, pp. 75–86. 11. Y. Chen, P. Gupta, and A. B. Kahng, Performance-impact limited area fill synthesis, in Proceedings of ACM/IEEE Design Automation Conference, Anaheim, CA, 2003, pp. 22–27. 12. Y. Chen, A. B. Kahng, G. Robins, and A. Zelikovsky, Practical iterated fill synthesis for CMP uniformity, in Proceedings of ACM/IEEE Design Automation Conference, Los Angeles, CA, 2000, pp. 671–674. 13. Y. Chen, A. B. Kahng, G. Robins, and A. Zelikovsky, Hierarchical dummy fill for process uniformity, in Proceedings of IEEE Asia and South Pacific Design Automation Conference, Las Vegas, NY, 2001, pp. 139–144. 14. Y. Chen, A. B. Kahng, G. Robins, and A. Zelikovsky, Monte-Carlo algorithms for layout density control, in Proceedings of IEEE Asia and South Pacific Design Automation Conference, Yokohama, Japan, 2000, pp. 523–528. 15. L. M. Cook, Chemical processes in glass polishing, Journal of Non-Crystalline Solids, 520, 152–171, 1990. 16. D. Dornfeld, Mechanical aspects of CMP, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, Santa Clara, CA, 2000, pp. 105–112. 17. P. Friedberg, W. Cheung, and C. J. Spanos, Spatial variability of critical dimensions, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, Fremont, CA, 2005. 18. W. B. Glendinning and J. N. Helbert, Handbook of VLSI Microlithography: Principles, Technology, and Applications, Noyes Publications, 1991. 19. Y. Gotkis, D. Schey, S. Alamgir, J. Yang, and K. Holland, Cu CMP with orbital technology: Summary of the experience, in Proceedings of ASMC, 1998, pp. 364–371. 20. P. Gupta, A. B. Kahng, O. S. Nakagawa, and K. Samadi, Closing the loop in interconnect analyses and optimization: CMP fill, lithography and timing, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, Fremont, CA, 2005, pp. 352–363. 21. P. Gupta, A. B. Kahng, C. -H. Park, K. Samadi, and X. Xu, Wafer topography-aware optical proximity correction for better DOF margin and CD control, in Proceedings of the SPIE, vol. 5853, 2005, pp. 844–854. 22. L. He, A. B. Kahng, K. H. Tam, and J. Xiong, Variability-driven considerations in the design of integratedcircuit global interconnects, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, Waikoloa Beach, Hawai, 2004, pp. 214–221. 23. L. He, A. B. Kahng, K. H. Tam, and J. Xiong, Design of IC interconnects with accurate modeling of CMP, in Proceedings of SPIE Conference on Design and Process Integration for Microelectronic Manufacturing, 2005, pp. 109–119. Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 CMP Fill Synthesis: A Survey of Recent Studies Finals Page 767 10-10-2008 #32 767 24. L. He, A. B. Kahng, K. H. Tam, and J. Xiong, Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation, in Proceedings of ACM/IEEE International Symposium on Physical Design, San Francisco, CA, 2005, pp. 78–85. 25. International Technology Roadmap for Semiconductors, 2007. 26. A. B. Kahng, G. Robins, A. Singh, H. Wang, and A. Zelikovsky, Filling and slotting: Analysis and algorithms, in Proceedings of ACM/IEEE International Symposium on Physical Design, Monterey, CA, 1998, pp. 95– 102. 27. A. B. Kahng, G. Robins, A. Singh, and A. Zelikovsky, New and excat filling algorithms for layout density control, in Proceedings of IEEE International Conference on VLSI Design, Goa, India, 1999, pp. 106–110. 28. A. B. Kahng, G. Robins, A. Singh, and A. Zelikovsky, New multilevel and hierarchical algorithms for layout density control, in Proceedings of IEEE Asia and South Pacific Design Automation Conference, Wanchai, Hong Kong, 1999, pp. 221–224. 29. A. B. Kahng, G. Robins, A. Singh, and A. Zelikovsky, Filling algorithms and analyses for layout density control, in Proceedings of IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 18(4), 445–462, 1999 (ISPD 1998). 30. A. B. Kahng, K. Samadi, and P. Sharma, Study of floating fill impact on interconnect capacitance, in Proceedings of IEEE International Symposium on Quality Electronic Design, San Jose, CA, 2006, pp. 691–696. 31. A. B. Kahng, P. Sharma, and A. Zelikovsky, Fill for shallow trench isolation CMP, in Proceedings of IEEE International Conference on Computer-Aided Design, San Jose, CA, 2006, pp. 661–668. 32. K. Kelly, Effect of grounded vs. floating fill metal on parasitic capacitance, International Cadence UsersGroup Conference, 2004. 33. A. Kurokawa, T. Kanamoto, T. Ibe, A. Kasebe, C. W. Fong, T. Kage, Y. Inoue, and H. Masuda, Dummy filling methods for reducing interconnect capacitance and number of fills, in Proceedings of IEEE International Symposium on Quality Electronic Design, San Jose, CA, 2005, pp. 586–591. 34. A. Kurokawa, T. Kanamoto, A. Kasebe, Y. Inoue, and H. Masuda, Ecient capacitance extraction method for interconnects with dummy fills, in Proceedings of CICC, Orlando, FL, 2004, pp. 485–488. 35. H. Landis, P. Burke, W. Cote, W. Hill, C. Hoffman, C. Kaanta, C. Koburger, W. Lange, M. Leach, and S. Luce, Integration of chemical–mechanical polishing into CMOS integrated circuit manufacturing, Thin Solid Films, 220, 1–7, 1992. 36. B. Lee, Modeling of chemical–mechanical polishing for shallow trench isolation, Ph.D. Dissertation, Department of Electrical Engineering and Computer Science, MIT, Cambridge, MA, 2002. 37. B. Lee, D. S. Boning, D. L. Hetherington, and D. J. Stein, Using smart dummy fill and selective reverse etchback for pattern density equalization, in Proceedings of Chemical Mechanical Polish for ULSI Multilevel Interconnection Conference, Santa Clara, CA, 2000, pp. 255–258. 38. W. -S. Lee, K. -H. Lee, J. -K. Park, T. -K. Kim, and Y. -K. Park, Investigation of the capacitance deviation due to metal-fills and the effective interconnect geometry modeling, in Proceedings of International Symposium on Quality Electronic Design, San Jose, CA, 2003, pp. 354–357. 39. W. -S. Lee, K. -H. Lee, J. -K. Park, T. -K. Kim, Y. -K. Park, and J. -T. Kong, Investigation of the capacitance deviation due to metal fills and the effective interconnect geometry modeling, in Proceedings of International Symposium on Quality Electronic Design, San Jose, CA, 2003, pp. 373–376. 40. K. -H. Lee, J. -K. Park, Y. -N. Yoon, D. -H. Jung, J. -P. Shin, Y. -K. Park, and J. -T. Kong, Analyzing the effects of floating dummy fills: From feature scale analysis to full-chip RC extraction, in Proceedings of IEDM, Washington, Washington D.C., 2001, pp. 31.3.1–31.3.4. 41. K. -S. Leung, SPIDER: Simultaneous post-layout IR-drop and metal density enhancment with redundant fill, in Proceedings of International Conference on Computer-Aided Design, San Jose, CA, 2005, pp. 33–38. 42. Z. Li, L. Borucki, I. Koshiyama, and A. Philipossian, Effect of slurry flow rate on tribological, thermal, and removal rate attributes of copper CMP, Journal of Electrochemical Society, 151, G482–G487, 2004. 43. S. Mudhivarthi, N. Gitis, S. Kuiry, M. Vinogradov, and A. Kumar, Effects of slurry flow rate and pad conditioning temperature on dishing, erosion, and metal loss during copper CMP, Journal of Electrochemical Society, 153(5), G372–G378, 2006. 44. N.S. Nagaraj, T. Bonifield, A. Singh, C. Bittlestone, U. Narasimha, V. Le, and A. Hill, BEOL variability and impact on RC extraction, in Proceedings of Design Automation Conference, Anaheim, CA, 2005, pp. 758–759. Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 768 Finals Page 768 10-10-2008 #33 Handbook of Algorithms for Physical Design Automation 45. G. Nanz and L. E. Camilletti, Modeling of chemical–mechanical polishing, in IEEE Transactions on Semiconductor Manufacturing, 8(11), 382–389, 1995. 46. M. Nelson, B. Williams, C. Belisle, S. Aytes, D. Beasterfield, J. Liu, S. Donaldson, and J. Prasad, Optimizing pattern fill for planarity and parasitic capacitance, in Proceedings of International Semiconductor Device Research Symposium, 2003, Washington, Washington D.C., pp. 428–429. 47. D. Ouma, Modeling of chemical–mechanical polishing for dielectric planarization, Ph.D. Dissertation Department of Electrical Engineering and Computer Science, MIT, Cambridge, MA, 1998. 48. D. Ouma, B. Stine, R. Divecha, D. Boning, J. Chung, I. Ali, and M. Islamraja, Using variation decomposition analysis to determine the effects of process on wafer and dielevel uniformity in CMP, Symposium on Chemical Mechanical Planarization (CMP) in IC Device Manufacturing, 190th Electrochemical Society Meeting, 1996. 49. J. T. Pan, D. Ouma, P. Li, D. Boning, F. Redecker, J. Chung, and J. Whitby, Planarization and integration of shallow trench isolation, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, 1998, pp. 467–472. 50. J. -K. Park, K. -H. Lee, J. -H. Lee, Y. -K. Park, and J. -T. Kong, An exhaustive method for characterizing the interconnect capacitance considering the floating dummy fills by employing an efficient field solving algorithm, in Proceedings of SISPAD 2000, Seattle, Washington, pp. 98–101. 51. W. J. Patrick, W. Doedel, T. Souts, and P. H. Schiable, Application of chemical–mechanical polishing to the fabrication of VLSI circuit interconnects, Journal of Electrochemical Society, 138(6), 1778–1784, 1991. 52. K. A. Perry, Chemical mechanical polishing: The impact of a new technology on an industry, Proceedings of Symposium on VLSI Technology, Honalulu, Hawaii, 1998, pp. 2–5. 53. P. F. Preparata and M. I. Shamos, Computational Geometry: An Introduction, Springer-Verlag, New York, 1985. 54. S. Raghvendra and P. Hurat, DFM: Linking design and manufacturing, in Proceedings of International Conference on VLSI Design, Kolkata, India, 2005, pp. 705–708. 55. P. Rai-Choudhury (Ed.), Handbook of Microlithography, Micromachining, and Microfabriation, vol. 1: Microlithography, Bellingham, SPIE Optical Engineering Press, 1997. 56. S. Runnels, M. Kim, J. Schleuter, C. Karlsrud, and M. Desai, A modeling tool for chemical–mechanical polishing design and evaluation, IEEE Transactions on Semiconductor Manufacturing, 11(8), 501–510, 1995. 57. S. Sivaram, H. Bath, E. Lee, R. Leggett, and R. Tolles, Measurement and modeling of pattern sensivity during chemical–mechanical polishing of interlevel dielectrics, SEMTECH, Austin, TX, Technical Report, 1992. 58. S. Sivaram, H. Bath, R. Legegett, A. Maury, K. Monning, and R. Tolles, Planarizing interlevel dielectrics by chemical mechanical polishing, Solid State Technology, pp. 87–91, May 1992. 59. J. Sorooshian, D. Hetherington and A. Philipossian, Effect of process temperature on coefficient of friction during CMP, Electrochemical Solid-State Letters, G222–G224, 2004. 60. J. Sorooshian, D. DeNardis, L. Charns, Z. Li, F. Shadman, D. Boning, D. Hetherington and A. Philipossian, Arrhenius characterization of ILD and copper CMP process, Journal of Electrochemical Society, 151, G85–G88, 2004. 61. B. E. Stine, D. S. Boning, and C. E. Chung, Analysis and decomposition of spatial variation in integrated circuit processes and devices, IEEE Transactions on Semiconductor Manufacturing, 10(2), 24–41, 1997. 62. B. E. Stine, D. S. Boning, J. E. Chung, L. Camilletti, F. Kruppa, E. R. Equi, W. Loh, S. Prasad, M. Muthukrishnan, D. Towery, M. Berman, and A. Kapoor, The physical and electrical effects of metal-fill patterning practices for oxide chemical-mechanical polishing processes, in IEEE Transactions on Electron Devices, 45(3), 665–679, 1998. 63. B. Stine, D. Ouma, R. Divecha, D. Boning, J. Chung, D. L. Hetherington, I. Ali, G. Shinn, J. Clark, O. S. Nakagawa, and S. -Y. Oh, A closed-form analytic model for ILD thickness variation in CMP processes, in Proceedings of Chemical–Mechanical Polish for ULSI Multilevel Interconnection Conference, Santa Clara, CA, 1997, pp. 266–273. 64. M. E. Thomas, S. Sekigahama, P. Renteln, and J. M. Pierce, The mechanical planarization of interlevel dielectrics for multilevel interconnect applications, in Proceedings of International VLSI/ULSI Multilevel Interconnection Conference, 1990, pp. 438–440. Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 CMP Fill Synthesis: A Survey of Recent Studies Finals Page 769 10-10-2008 #34 769 65. R. Tian, D. F. Wong, and R. Boone, Model-based dummy feature placement for oxide chemical–mechanical polishing manufacturability, in Proceedings of ACM/IEEE Design Automation Conference, Los Angeles, CA, 2000, pp. 667–670. 66. R. Tian, D. F. Wong, R. Boone, and A. Reich, Dummy feature placement for oxide chemical–mechanical polishing manufacturability, in Technical Report, University of Texas at Austin CS Department, 1999, pp. 9–19. 67. N. N. Toan, Spin-on glass materials and applications in advanced IC technologies, Ph.D. Dissertation, Universiteit Twente, Netherlands, 1999. 68. T. Tugbawa, Chip-scale modeling of pattern dependencies in copper chemical mechanical polishing processes, Ph.D. Dissertation, Department of Electrical Engineering and Computer Science, MIT, Cambridge, MA, 2002. 69. T. Tugbawa, T. Park, D. Boning, T. Pan, P. Li, S. Hymes, T. Brown, and L. Camilletti, A mathematical model of pattern dependence in Cu CMP process, in Proceedings of CMP Symposium Electrochemical Society Meeting, 1999, pp. 605–615. 70. X. Wang, C. C. Chiang, J. Kawa, and Q. Su, A min-variance iterative method for fast smart dummy feature density assignment in chemical–mechanical polishing, in Proceedings of International Symposium on Quality Electronic Design, San Jose, California, 2005, pp. 258–263. 71. J. Warnock, A two-dimensional process model for Ic chemimechanical polish planarization, Journal of Electrochemical Society, 138(8), 2398–2402, 1991. 72. D. White, J. Melrin, and D. Boning, Characterization and modeling of dynamic thermal behavior in CMP, Journal of Electrochemical Society, 150, G271–G278, 2003. 73. X. Xie, T. Park, D. Boning, A. Smith, P. Allard, and N. Patel, Characterizing STI CMP processes with an STI test mask having realistic geometric shapes, in Chemical–Mechanical Polishing Symposium, MRS Spring Meeting, 2004. 74. T. -K. Yu, C. C. Yu, and M. Orlowski, A statistical polishing pad model for chemical–mechanical polishing, in Proceedings of IEEE International Electron Devices Meeting, 1993, pp. 865–868. 75. W. Yu, M. Zhang, and Z. Wang, Ecient 3-D extraction of interconnect capacitance considering floating metal fills with boundary element method, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 25(1), 12–18, 2006. Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C036 Finals Page 770 10-10-2008 #35 Alpert/Handbook of Algorithms for Physical Design Automation AU7242_C037 Finals Page 771 19-9-2008 #2 Analysis 37 Yield and Optimization Puneet Gupta and Evanthia Papadopoulou CONTENTS 37.1 Introduction.. . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.2 Sources of Yield Loss . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.3 Yield Analysis .. . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.3.1 Parametric Yield Analysis .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.3.2 Random Defect Yield Modeling and Critical Area Computation . . .. . . . . . . . . . . . . . 37.3.2.1 Defect Models .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.4 Methods for Yield Optimization .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.4.1 Critical Area and Catastrophic Yield Optimization Methods . . . . . . . .. . . . . . . . . . . . . . 37.4.2 Design Rules . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.4.3 Corner-Based Design Analysis .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.4.4 Future of Parametric Yield Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.4.4.1 Methods for Systematic Variability . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.4.4.2 Statistical Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 37.5 Conclusion .. . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . References . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . . . . . . . . . 771 774 775 775 776 778 783 783 785 786 786 787 787 787 787 In this chapter, we discuss yield loss mechanisms, yield analysis and common physical design methods to improve yield. Yield is defined as the ratio of the number of products that can be sold to the number of products that can be manufactured. To motivate the importance of yield, it is instructive to look at the economics of chip manufacturing. The estimated typical cost of a modern 300 mm or 12 in. wafer 0.13 µm process fabrication plant is $ 2–4 billion, a typical number of processing steps for a modern integrated circuit is more than 150, a typical production cycle-time is over six weeks, and individual wafers cost multiple thousands of dollars. Given the huge investments that this entails, consistent high yield is necessary for faster time to profit. 37.1 INTRODUCTION The total yield for an integrated circuit Ytotal can be expressed a follows: Ytotal = Yline × Ybatch (37.1) Here Yline denotes line yield or wafer yield that is the fraction of wafers which survive through the manufacturing line, and Ybatch is the fraction of integrated circuits which, on each wafer, are fully functional at the end of the line. A steep yield ramp implies a quicker path to high batch yield, and hence, volume production, which in turn, means higher profitability for the semiconductor manufacturer who operates under time-to-market pressures. 771
This site is protected by reCAPTCHA and the Google Privacy Policy and Terms of Service apply.